Engineering and Scientific

ghdl - A VHDL simulator, using the GCC technology

GHDL is a VHDL simulator, using the GCC technology. VHDL is a language
standardized by the IEEE, intended for developing electronic systems. GHDL
implements the VHDL language according to the IEEE 1076-1987 or the IEEE
1076-1993 standard. It compiles VHDL files and creates a binary that simulates
(or executes) your design. GHDL does not do synthesis: it cannot translate your
design into a netlist.

Since GHDL is a compiler (i.e., it generates object files), you can call
functions or procedures written in a foreign language, such as C, C++, or
Ada95.
License:GPL Group:Engineering and Scientific
URL:http://ghdl.free.fr/ Source: ghdl

Packages

Name Version Release Type Size Built
ghdl 0.25 0.73svn.0.fc6 x86_64 6.77 MiB Fri Oct 6 04:22:12 2006

Changelog

* Fri Oct 6 18:00:00 2006 Thomas Sailer <t{*}sailer{%}alumni{*}ethz{*}ch> - 0.25-0.73svn.0
- update to svn73
* Thu Oct 5 18:00:00 2006 Thomas Sailer <t{*}sailer{%}alumni{*}ethz{*}ch> - 0.25-0.71svn.1
- bump release
* Thu Oct 5 18:00:00 2006 Thomas Sailer <t{*}sailer{%}alumni{*}ethz{*}ch> - 0.25-0.71svn.0
- update to svn71

Listing created by RepoView-0.5.2-1.fc6 (modified)